Адміністрація вирішила продати даний сайт. За детальною інформацією звертайтесь за адресою: rozrahu@gmail.com

Розробка та моделювання декодера для семисегментного індикатора

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
ІКТА
Факультет:
КН
Кафедра:
Кафедра ЕПМС

Інформація про роботу

Рік:
2013
Тип роботи:
Лабораторна робота
Предмет:
Моделювання комп ютерних систем

Частина тексту файла

Міністерство освіти і науки України Національний університет “Львівська політехніка” Кафедра «Електронні обчислювальні машини» / Лабораторна робота №2 “Розробка та моделювання декодера для семисегментного індикатора” з дисципліни: “ Моделювання комп'ютерних систем ” Львів - 2013 Порядок виконання роботи: 1. Створити новий проект в Active-HDL. 2. Створити об'єкт декодера та описати на VHDL його поведінку. 3. Скомпілювати створений об'єкт (меню Design \ Compile, або клавіша <F11>). 4. У вікні Design Browser для встановлення верхнього рівня моделювання (Top Level) вибрати об'єкт-декодер. 5. Ініціалізувати моделювання об'єкта (меню Simulation \ Initialize Simulation). 6. Створити в проекті новий файл Waveform Viewer (меню File \ New \ Waveform). 7. Вставити в вікно Waveform Viewer вхідний та вихідний сигнали декодера (меню Waveform \ Add Signals). 8. Призначити вхідному сигналу декодера стимулятори (меню Waveform \ Stimulators). 9. Запустити процес симуляції декодера (меню Simulation \ Run). 10. Вивчити отримані часові діаграми роботи декодера. 11. Змінюючи стимулятори на вхідних портах декодера, перевірити коректність його роботи для всіх можливих значень на вході. 12. Підготувати звіт до захисту. Теоретична частина: Для візуалізації процесів, що протікають в складному цифровому пристрої, необхідно виводити значення сигналів на 7-сегментний індикатор. Необхідно розробити на VHDL пристрій "декодер", що перетворює вхідний 4-розрядний двійково-десятковий код у сигнал для одного розряду 7-сегментного індикатора. Кожний розряд індикатора являє собою 7 сегментів, подання логічної одиниці на які викликає їх свічення. Наприклад, подання на індикатор числа "1101101" приведе до висвічення цифри "3": / / Інтерфейс декодера: 4-розрядний вхідний порт X типу std_logic_vector (3 downto 0), вихідний 7-розрядний порт Y типу std_logic_vector (6 downto 0): Якщо на вхід Х пристрою об'єкта поданий код від 0 до 9, декодер сформувати на виході Y сигнал, який задає зображення цього числа. Для кодів 10..15 на виході формується сигнал "0000000" (жоден сегмент індикатора не світиться). Декодер повинен оновлювати сигнал Y кожний раз, коли змінюється значення вхідного порту Х. Назва та мета виконання лабораторної роботи Назва: Розробка та моделювання декодера для семисегментного індикатора. Мета: Вивчити методи опису поведінки об'єктів за допомогою архітектур та процесів, шляхи застосування оператора вибору case, навчитись моделювати поведінку об'єктів в САПР Active-HDL. Опис засобів Active-HDL для моделювання об’єктів Головними засобами Active-HDL для моделювання об’єктів є: Waveform Viewer – цей модуль дозволяє переглядати вхідні та вихідні сигнали у вигляді часових діаграм що дозволяє змоделювати потрібний об’єкт без помилок перевіривши у Waveform Viewer усі можливі стани моделі Simulators – набір симуляторів які дозволяють симулювати роботу реального об’єкту генеруючи потрібні для тестування сигнали у потрібній послідовності, у програмі Active-HDL є декілька симуляторів, наприклад – Лічильник, клавіатура, годинник, введення формули, та системи випадкових чисел. HDL Editor - текстовий редактор, призначений для файлів редагування файлів HDL. Він відображає окремі категорій синтаксису в різних кольорах. Редактор тісно інтегрований з мовою VHDL для включення налагодження коду джерела. Block Diagram Editor - редактор блок-схем який являє собою графічний інструмент, призначений для створення блок-схем. Редактор автоматично переводить графічно розроблені дизайни моделей в VHDL або Verilog код. Language Assistant - є допоміжним інструментом, який надає ряд VHDL і Verilog моделювання типових шаблонів, логіки і функціональних блоків. Він інтегрований з редактором HDL, так що ми можемо автоматично вставляти потрібні шаблони в редаговані вихідні файли. Language Assistant дозволяє нам визначити наші власні шаблони. Memory View - є інструментом налагодження який відображає вміст пам'яті визначений в конс...
Антиботан аватар за замовчуванням

27.05.2014 23:05

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини